Bug 42631 - Не устанавливает graphviz python3-module-xdot
Summary: Не устанавливает graphviz python3-module-xdot
Status: CLOSED FIXED
Alias: None
Product: Branch p10
Classification: Unclassified
Component: yosys (show other bugs)
Version: не указана
Hardware: x86_64 Linux
: P5 normal
Assignee: Антон Мидюков
QA Contact: qa-p10@altlinux.org
URL:
Keywords:
Depends on:
Blocks:
 
Reported: 2022-04-28 14:19 MSK by Evgeny Shesteperov
Modified: 2022-05-04 16:29 MSK (History)
0 users

See Also:


Attachments

Note You need to log in before you can comment on or make changes to this bug.
Description Evgeny Shesteperov 2022-04-28 14:19:07 MSK
Версия
======

Начиная с:

Name        : yosys
Version     : 0.9.0.0.4052.ga58571d
Release     : alt1
DistTag     : sisyphus+269689.100.1.1

Дистрибутивы
============

* p10-education-10-x86-64
* p10-education-10-x86-64-kde
* p10-kworkstation-10-x86-64-beta
* p10-server-10-x86-64
* p10-workstation-10-x86-64

По умолчанию yosys использует xdot, dot для создания и отображения сетевых списков (netlists)

Шаги воспроизведения
====================

1. Создать тестовый файл:

$ cat > fiedler-cooley.v << 'EOF'
module up3down5(clock, data_in, up, down, carry_out, borrow_out, count_out, parity_out);

input [8:0] data_in;
input clock, up, down;

output reg [8:0] count_out;
output reg carry_out, borrow_out, parity_out;

reg [9:0] cnt_up, cnt_dn;
reg [8:0] count_nxt;

always @(posedge clock)
begin
	cnt_dn = count_out - 3'b 101;
	cnt_up = count_out + 2'b 11;

	case ({up,down})
		2'b 00 : count_nxt = data_in;
		2'b 01 : count_nxt = cnt_dn;
		2'b 10 : count_nxt = cnt_up;
		2'b 11 : count_nxt = count_out;
		default : count_nxt = 9'bX;
	endcase

	parity_out  <= ^count_nxt;
	carry_out   <= up & cnt_up[9];
	borrow_out  <= down & cnt_dn[9];
	count_out   <= count_nxt;
end

endmodule
EOF

2. В графическом сеансе выполнить:

$ yosys

3. Выполнить команды:

yosys> read -sv fiedler-cooley.v
yosys> hierarchy -top up3down5
yosys> write_ilang
yosys> proc; opt

4. Выполнить команду show:

yosys> show

Ожидаемый результат: запущенное окно Dot Viewer с созданным сетевым списком .yosus_show.dot

Фактический результат:

7. Generating Graphviz representation of design.
Writing dot description to `/home/test/.yosys_show.dot'.
Dumping module up3down5 to page 1.
Exec: { test -f '/home/test/.yosys_show.dot.pid' && fuser -s '/home/test/.yosys_show.dot.pid' 2> /dev/null; } || ( echo $$ >&3; exec xdot '/home/test/.yosys_show.dot'; ) 3> '/home/test/.yosys_show.dot.pid' &

Согласно документации на GitHub:

> Xdot (graphviz) is used by the show command in yosys to display schematics.

Делаю # apt-get install python3-module-xdot graphviz и всё нормально отображается и работает. Неплохо бы, чтобы они устанавливались вместе с данным пакетом.
Comment 1 Pavel Nakonechnyi 2022-04-28 22:25:12 MSK
0.16-alt1 Антон собирал, да и я обновил yosys чисто случайно :) Поэтому меняю исполнителя, адекватно проверить все равно не смогу.
Comment 2 Антон Мидюков 2022-05-04 16:29:42 MSK
https://git.altlinux.org/tasks/archive/done/_290/297895
* Fri Apr 29 2022 Anton Midyukov <antohami@altlinux.org> 0.16-alt2
- add dependencies on graphviz, python3-module-xdot for generating Graphviz
  representation of design (Closes: 42631)